Aktyw Forum

Zarejestruj się na forum.ep.com.pl i zgłoś swój akces do Aktywu Forum. Jeśli jesteś już zarejestrowany wystarczy, że się zalogujesz.

Sprawdź punkty Zarejestruj się

Sterowanie urzadzeniem portem COM, z poziomu DELPHI - POMOCY

Moderatorzy: Jacek Bogusz, Moderatorzy

Sqren
-
-
Posty: 5
Rejestracja: 9 paź 2003, o 10:06
Lokalizacja: Radom
Kontaktowanie:

Sterowanie urzadzeniem portem COM, z poziomu DELPHI - POMOCY

Postautor: Sqren » 10 paź 2003, o 01:15

Witam !

Juz ponad 13 godzin szukam rozwiazania na moj w prawdzie banalny, ale dla mnie nie wykonalny pomysl. Mianowicie usiluje do jednego z portow COm1 lub 2 podlaczyc dwie diody i z poziomu delphi kazda zapalac i gasic osobno. We wszelkich szukanych przeze mnie informacjach znalazlem tylko kod programo ktory zapala i gasi diode na porcie com2 na pinach 4,7 (http://www.delphi.qs.pl/faq1.php3#34). I teraz kluczowe pytanie co musze zmienic w tym kodzie zeby zapalal druga diode na tym samym porcie i na jakich pinach ja musze umiescic.

Jurek Szczesiul
-
-
Posty: 175
Rejestracja: 10 paź 2003, o 20:44
Lokalizacja: Białystok
Kontaktowanie:

Re: Sterowanie urzadzeniem portem COM, z poziomu DELPHI - PO

Postautor: Jurek Szczesiul » 11 paź 2003, o 08:53

Witam !

Juz ponad 13 godzin szukam rozwiazania na moj w prawdzie banalny, ale dla mnie nie wykonalny pomysl. Mianowicie usiluje do jednego z portow COm1 lub 2 podlaczyc dwie diody i z poziomu delphi kazda zapalac i gasic osobno. We wszelkich szukanych przeze mnie informacjach znalazlem tylko kod programo ktory zapala i gasi diode na porcie com2 na pinach 4,7 (http://www.delphi.qs.pl/faq1.php3#34). I teraz kluczowe pytanie co musze zmienic w tym kodzie zeby zapalal druga diode na tym samym porcie i na jakich pinach ja musze umiescic.
Szukaj funkcji API do obsługi portu : CreateFile do otwarcia, CloseHandle do zamknięcia. Jak masz port otwarty to można wszystkie trzy linie wyjściowe(rts,dtr i txd) ustawiać niezależnie funkcją EscapeCommFunction z odpowiednimi parametrami.

Jurek S.

Wróć do „PLD/FPGA i inne zagadnienia techniki cyfrowej”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 92 gości