Aktyw Forum

Zarejestruj się na forum.ep.com.pl i zgłoś swój akces do Aktywu Forum. Jeśli jesteś już zarejestrowany wystarczy, że się zalogujesz.

Sprawdź punkty Zarejestruj się

Implementacja dowolnego filtru cyfrowego 1d w VHDL

Moderatorzy: Jacek Bogusz, Moderatorzy

ziber
-
-
Posty: 2
Rejestracja: 23 kwie 2003, o 12:15
Lokalizacja: Pyrzyce
Kontaktowanie:

Implementacja dowolnego filtru cyfrowego 1d w VHDL

Postautor: ziber » 14 maja 2003, o 17:07

Pilnie potrzebuje implementacje dowolnego filtru cyfrowego 1d w VHDL na FPGA lub CPLD np. medianowy albo cos w ten desen

Wróć do „PLD/FPGA i inne zagadnienia techniki cyfrowej”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 28 gości