Aktyw Forum

Zarejestruj się na forum.ep.com.pl i zgłoś swój akces do Aktywu Forum. Jeśli jesteś już zarejestrowany wystarczy, że się zalogujesz.

Sprawdź punkty Zarejestruj się

Jak zacząć z VHDL/Verilog?

Moderatorzy: Jacek Bogusz, Moderatorzy

Andy72
-
-
Posty: 6
Rejestracja: 27 gru 2015, o 13:51

Jak zacząć z VHDL/Verilog?

Postautor: Andy72 » 27 gru 2015, o 13:57

Jestem początkującym w tym temacie. Chciałbym nauczyć się tych języków opisu sprzętu. Jakie są do tego środowiska kompilacji, symulacji?

Awatar użytkownika
sigaris
-
-
Posty: 55
Rejestracja: 3 sty 2014, o 19:16
Lokalizacja: Niepołomice

Re: Jak zacząć z VHDL/Verilog?

Postautor: sigaris » 27 gru 2015, o 18:26

Na początek polecam Ci programowanie on-line. Dostępne jest na stronie : http://www.edaplayground.com/
Krótki opis jak zacząć z tą stronką opisem na swoim blogu: http://elektronika.sigaris.pl/edaplaygr ... em-online/

Andy72
-
-
Posty: 6
Rejestracja: 27 gru 2015, o 13:51

Re: Jak zacząć z VHDL/Verilog?

Postautor: Andy72 » 28 gru 2015, o 22:58

Dobra strona na początek, przyglądam się przykładom VHDL. Skąd biorą się stałe czasowe?, np dla "or Gate" to 4 ns. Czy uruchamianie polega na generowaniu przebiegów czasowych? Czy jakieś programy zamieniają kod programów w rozkład bramek w stylu CAD? Jak działa programowanie FPGA ?

Awatar użytkownika
ACeK
-
-
Posty: 1520
Rejestracja: 30 mar 2003, o 19:35
Lokalizacja: Kielce

Re: Jak zacząć z VHDL/Verilog?

Postautor: ACeK » 29 gru 2015, o 09:09

Obrazek
:arrow: Układy FPGA w przykładach (kurs w 9 czesciach) :idea:
:arrow: Leon Instruments
Obrazek

:idea: Jak znasz angielski to w sieci dostepna jest ksiazka :arrow: The Designer's Guide to VHDL Third Edition :mikolaj:

Andy72
-
-
Posty: 6
Rejestracja: 27 gru 2015, o 13:51

Re: Jak zacząć z VHDL/Verilog?

Postautor: Andy72 » 18 lip 2018, o 12:06

Szukam przykładów zarówno na sumator czy multiplicator za pomocą bramek jak i oprogramowanie procesora w FPGA. Mam kość
XILINX - XC3S4000 - 4FGG676I - SPARTAN-3 FPGA 4M STD 676-FBGA
Jaki układ do tego można dokupić aby zacząć, a może lepiej inną kość? Ta ma wiele bramek.

Wróć do „PLD/FPGA i inne zagadnienia techniki cyfrowej”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 22 gości