Aktyw Forum

Zarejestruj się na forum.ep.com.pl i zgłoś swój akces do Aktywu Forum. Jeśli jesteś już zarejestrowany wystarczy, że się zalogujesz.

Sprawdź punkty Zarejestruj się

Verilog obsluga rs232

Moderatorzy: Jacek Bogusz, Moderatorzy

Sory123
-
-
Posty: 1
Rejestracja: 11 maja 2009, o 23:46
Lokalizacja: Gdynia

Verilog obsluga rs232

Postautor: Sory123 » 11 maja 2009, o 23:55

Mam za zadanie zrealizować mini projekcik o nastepujacej tresci:
Klik

Rozwiazalem go w nastepujacy sposob:(pod linkiem rar z plikami .v)
klik

Niby robi to co bym chciał, mimo wszystko jestem w temacie Verilog/VHDL dopiero początkujący i prosiłbym :) żeby ktoś rzucił okiem i powiedział mi gdzie mam błędy, co powinienem zrobić inaczej i takie tam :)

---------EDIT
Z wielką wdzięcznością Delvier :)

Udalo mi sie przeprowadzic synteze mam 147 warningow :P.
Prosze o pomoc w ich redukcji :)!


Dołączam reportaKlik

Wróć do „PLD/FPGA i inne zagadnienia techniki cyfrowej”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 68 gości