Aktyw Forum

Zarejestruj się na forum.ep.com.pl i zgłoś swój akces do Aktywu Forum. Jeśli jesteś już zarejestrowany wystarczy, że się zalogujesz.

Sprawdź punkty Zarejestruj się

Minimalizacja 1 i 0

Moderatorzy: Jacek Bogusz, Moderatorzy

sekretmnicha
-
-
Posty: 1
Rejestracja: 29 lut 2008, o 16:07
Lokalizacja: Toruń

Minimalizacja 1 i 0

Postautor: sekretmnicha » 29 lut 2008, o 16:18

Witam, mam problem z projektem na uczelni. Zadanie mamy wykonac na bramkach NAND, a ja nawet nie wiem jak sie zabrac za projekt.
A wiec zadania, oba uklady kombinacyjne:
1) mamy podane tylko liczby - 2 4 5 6 10 11 12 14 15 17 21 23 27 28 30 31 37 42 45 48 50 52 53 56 57 58 61 i mamy zrobic minimalizacje 1

2) liczby 0 3 7 13 16 17 20 22 26 28 30 34 37 38 39 40 41 43 45 46 48 50 52 54 59 60 i wykonac minimalizacje 0

Czy powinienem zamienic te wszystkie liczby na kod 2kowy Grey'a i pozniej kombinowac cos z tablica Karnaugha (o której za duzo nie wiem) ?

dziekuje za kazda pomoc

ziggy
-
-
Posty: 25
Rejestracja: 2 cze 2006, o 21:44
Lokalizacja: Podkarpacie
Kontaktowanie:

Postautor: ziggy » 1 mar 2008, o 13:54

Czy powinienem zamienic te wszystkie liczby na kod 2kowy Grey'a i pozniej kombinowac cos z tablica Karnaugha (o której za duzo nie wiem) ?
Tak, możesz zastosować tablice Karnaugha - dla 6-ciu zmiennych, tak jak w Twoim przypadku, jest to jeszcze możliwe. Tablica Karnaugha jest w sumie najprostsza. Można tu również zastosować metodę Quina McCluskey'a, metodę iteracyjnej zgodności, metodę bezpośredniego przeszukiwania, czy algorytm Espresso (jest nawet gotowy - darmowy - program w internecie, chociaż tą metodą uzyskuje się wyniki quasi optymalne) . Generalnie polecam Technika cyfrowa - podręcznik multimedialny (moduły 3 i 4).
Jak już masz postać minimalną funkcji (suma iloczynów), wystarczy zastosować odpowiednio prawa DeMorgana i otrzymasz równanie, które można bezpośrednio zaimplementować za pomocą bramek NAND

Edit: Edito linko - Pajaczek.
Ostatnio zmieniony 1 mar 2008, o 15:11 przez ziggy, łącznie zmieniany 1 raz.

Wróć do „PLD/FPGA i inne zagadnienia techniki cyfrowej”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 6 gości