Aktyw Forum

Zarejestruj się na forum.ep.com.pl i zgłoś swój akces do Aktywu Forum. Jeśli jesteś już zarejestrowany wystarczy, że się zalogujesz.

Sprawdź punkty Zarejestruj się

Problem z Quartusem II (VHDL)

Moderatorzy: Jacek Bogusz, Moderatorzy

Piotr
Moderator
Moderator
Posty: 465
Rejestracja: 14 lut 2003, o 13:53
Lokalizacja: Warszawa
Kontaktowanie:

Postautor: Piotr » 5 lut 2007, o 22:02

W ModelSimie symulowac mozesz projekty hierarchiczne, ale opisane w VHDL a nie za pomoca schematu. Musisz wiec przygotowac opis polaczen pomiedzy bloczkami opianymi w 5 plikach VHDL, ktore skladaja sie na Twoj projekt.
Zeby sobie ulatwic (?) zadanie, mozesz zapisac w Quartusie schemat w postaci listy VHDL i taki zestaw plikow symulowac, ale traktuj to jako podpowiedz a nie odpowiedz. Nie zrobilbym tak pod zadnym pozorem.
Pzdr
PZb

P.S. W sumie dobrze by bylo, zebys pytajac okreslil problem, bo naprawde nie rozumiem zadania jakie probujesz rozwiazac, a to (nieco) utrudnia udzielenie odpowiedzi.

gruzin
-
-
Posty: 10
Rejestracja: 28 sty 2007, o 16:53
Lokalizacja: Warszawa

Postautor: gruzin » 5 lut 2007, o 22:56

Problem jest taki, że chcę zapisać do pliku wyniki symulacji całego projektu (wartości pojawiające się na pinach wyjściowych), następnie poddać je obróbce (np dodać jakiś szum) i wczytać na wejście innego układu.
Dokładniej próbuję zmonotować układ modulator-demodulator i chcę przesymulować wpływ zniekształceń na poprawność odbieranych danych.

Piotr
Moderator
Moderator
Posty: 465
Rejestracja: 14 lut 2003, o 13:53
Lokalizacja: Warszawa
Kontaktowanie:

Postautor: Piotr » 5 lut 2007, o 23:35

Wiec zrob jak napisalem: polacz w "topowym" pliku VHDL wszystkie bloczki i ignorujac Quartusa symuluj, zapisujac wyniki. Nastepnie wykorzystaj uzyskane wyniki, dodajac je jako "cos" (tablice, liste wektorow wejsciowy itp. - zalezy od ich postaci) do kolejnego pliku z wykazem pobudzen (tez napisany w VHDL) i przeprowadz kolejna symulacje za pomoca ModelSima. Inaczej sie nie da.
Nie rozumiem w tym wszystkim: czemu upierales sie na poczatku tej dyskusji na Quartusa? Przeciez to nie jest narzedzie do symulacji!
Pzdr
PZb

gruzin
-
-
Posty: 10
Rejestracja: 28 sty 2007, o 16:53
Lokalizacja: Warszawa

Postautor: gruzin » 6 lut 2007, o 08:21

Upierałem się, bo zacząłem w nim pisać, ustawiłem wszystkie zegary itp... w model simie wszystko będę musiał robić na nowo co zajmie mi sporo czasu. Najwygodniej byłoby mi skończyć w quartusie nie przerzucając się na inne narzędzia.

Wróć do „PLD/FPGA i inne zagadnienia techniki cyfrowej”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 34 gości