Znaleziono 57 wyników

autor: bartekgajos
31 sty 2009, o 20:45
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Przykład dołączania układu mnożącego do kontrolera
Odpowiedzi: 1
Odsłony: 3568

Witam Należałoby zadac pytanie jaki mikrokontroler i jaki układ mnożący. Po pierwsze - mikrokontroler: Nalezy okreslic w jaki sposób będą przesyłane dane z / do mikrokontrolera i układu mnożącego. Po drugia - uklad mnozący: jaki uklad to będzie: specjalizowany zrobiony na ukladach TTL a moze CPLD / ...
autor: bartekgajos
2 sty 2009, o 09:45
Forum: Elektronika - tematy dowolne
Temat: Dokładność pomiarów ADS1110 i AT90S2313
Odpowiedzi: 4
Odsłony: 4329

Witam Myslę że te 5mV to są już szumy ( i tak już są małe ). Czy masa analogowa i cyfrowa jest połączona w jednym punkcie?? Czy stosujesz oddzielne zasianie na część analogową i cyfrową?? Czy stosujesz dławiki?? Czy kondensatory blokujące 100nF są podłączone bezpośrednio do pinów zasilających scalak...
autor: bartekgajos
17 gru 2008, o 20:25
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Problem z implementacją w VHDLu
Odpowiedzi: 7
Odsłony: 6974

Zadeklaruj sobie zmienną wewnątrz procesu, sprawdz to pogadamy.

poza tym wszysko w FPGA lub PLD jest sygnałem ( w VHDL są używane zmienne żeby sie lepiej programowało ).

pozdrawiam
Bartek
autor: bartekgajos
16 gru 2008, o 10:44
Forum: Elektronika - tematy dowolne
Temat: Sterowanie rezystancja
Odpowiedzi: 7
Odsłony: 6156

Witam

Za duży potencjał dla LM317.
autor: bartekgajos
16 gru 2008, o 10:42
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Problem z implementacją w VHDLu
Odpowiedzi: 7
Odsłony: 6974

Witam Entity ABC is generic( K : integer := 10 ); port( S : in std_logic_vector(1 downto 0); A : out std_logic_vector(K-1 downto 0) ); architecture RTL of ABC is begin P1: process(S) variable X : std_logic_vector(K-1 downto 0); begin for I in 0 to K-1 loop begin if S=2 then X[I]:=S; end if; end loop...
autor: bartekgajos
3 sie 2008, o 20:07
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Materiały do VHDL
Odpowiedzi: 3
Odsłony: 4859

Witam

Polecam VHDL Cookbook - za darmoche na sieci
Drugą godna polecenia jest "Język VHDL" Kevin Skahill, wydawnictwo Naukowo Techniczne

Oprócz tego Bardziej przyziemnie jest napisana Synteza układów Cyfrowych - Wydawnictwo Komunikacji i Łączności.

pozdrawiam
autor: bartekgajos
5 lut 2008, o 20:41
Forum: ARM (STM32, NXP, Stellaris i inne)
Temat: Jak i jakie kości pamięci podłączyć do LPCxxxx?
Odpowiedzi: 2
Odsłony: 6445

Witam Z dynamicznymi pamieciami to jest tak: Można śmialo podłączyć SDRAM dowolne, tylko że należy pamietać o wielu różnych rzeczach przy projektowaniu PCB, np. impedancja linii na magistrali, impedancja linii zegarowej, etc, jeżeli nie utrzymasz odpowiedniej ( najprawdopodobniej 50R dla każdej z ni...
autor: bartekgajos
5 lut 2008, o 20:32
Forum: Elektronika - tematy dowolne
Temat: Zabezpieczenie nadnapięciowe MOSFETa mocy
Odpowiedzi: 2
Odsłony: 2980

Jakiego typu będzie to przetwornica??
izolowana ( flyback, brifge, forward )
nieizolowana ( synchronous buck - wyobrażam sobie tak mocne dziwactwo tylko jako synchroniczne )

Jakie napięcie wejsciowe, jakie napięcie wyjsciowe

więcej szczegołow....

pozdrawiam
Bartek
autor: bartekgajos
28 sty 2008, o 22:29
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: zapis/odczyt pamieci
Odpowiedzi: 19
Odsłony: 18101

Witam

Z pamięciami serii 93XX / 93CXX należy uważać, ponieważ są dostępne wersje 8, 16 bitów, istnieją wersje rónież o konfigurowanej szerokości słowa.

Natomiast pamięci 24XX / 24CXX są przeważnie 8 bitowe.

pozdrawiam
Bartek
autor: bartekgajos
15 sty 2008, o 06:13
Forum: Projektowanie PCB, programy EDA, CAD, narzędziowe
Temat: Programator do Texas Instruments TMS320 DSP.
Odpowiedzi: 1
Odsłony: 5013

Programator do Texas Instruments TMS320 DSP.

Witam Od dłuższego czasu szukam i nie mogę nigdzie znaleźć schematu programatora JTAG dla procesorów DSP Texas Instruments. Jest to standardowy interfejs JTAG - w stu procentach zgodny ze standardem JTAG, a pomimo tego nigdzie nie ma opracowań takiego programatora. Mam zamiar używać go z Code Compos...
autor: bartekgajos
3 sty 2008, o 23:23
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Lepiej zaprogramować microprocesor czy układ FPGA
Odpowiedzi: 2
Odsłony: 3940

Jeżeli nie szkoda ci pieniędzy na FPGA to taki kod bedzie duuuuuuuuuzo szybszy w FPGA niż w mikrokontrolerze ( da sie uzyskać jakieś ładne pare dziesiątek megaherców ), w kontrolerze ( zakłądam że jakiś AVR ) może jakieś pareset kiloherców. Tylko że szkoda całego FPGA na taki kod, sprobuj może z CPL...
autor: bartekgajos
29 gru 2007, o 17:09
Forum: Projektowanie PCB, programy EDA, CAD, narzędziowe
Temat: $SWSTACK, $HWSTACK, $FRAMESIZE
Odpowiedzi: 3
Odsłony: 6188

Witam Miałem raz tylko problem wBascom'ie ze stosami. Problem polegał na tym, że przy bardzo dużej ilości obliczeń nie starczalo stosu na przeniesienie wartości obliczeń pomiędzy procedureami. ale to dotyczyło logharytmów, sinusów, tangensów, funkcji exponentalnych, itp. W bardzo dużej ilości przypa...
autor: bartekgajos
25 gru 2007, o 14:15
Forum: Elektronika - tematy dowolne
Temat: Ułożenie dławików na PCB
Odpowiedzi: 5
Odsłony: 4719

Witam Ja bym ułożył następująco: Dławiki pionowe po kątem 90 stopni ( prostopadle do siebie ), jeżeli byłoby nie możliwe to oddaliłbym je od siebie jak najdalej. Z dławikami poziomymi jest gorzej, ale należy pamiętać że rdzenie toroidalne są dosyć doskonałymi rdzeniami ( nie rozpraszają tyle energii...
autor: bartekgajos
8 gru 2007, o 23:10
Forum: Elektronika - tematy dowolne
Temat: Wkładanie karty chip I2C w trakcie pracy czytnika.
Odpowiedzi: 1
Odsłony: 2245

Witam Myuśle że można zastosować I2C w układzie HOT-SWAP, wiec: - wkładasz karte do gniazda - stykiem kontroler sprawdza obecność karty - kontroler załącza napięcie zasilania na kartę - kontroler komunikuje się z kartą - po skonczonej transmisji kontroler wyłącza zasilanie karty Pamiętaj tylko że is...
autor: bartekgajos
8 gru 2007, o 23:02
Forum: Elektronika - tematy dowolne
Temat: Dławik przeciwzakłóceniowa
Odpowiedzi: 2
Odsłony: 3040

Witam

Dławik taki ( ang common mode choke ) stosuje sie do dławienia zakłuceń wspólnych w dwóch przewodach / ścieżkach, np. sygnały różnicowe, linia zasilająca 230V, itp.

pozdrawiam
Bartek
autor: bartekgajos
8 gru 2007, o 22:59
Forum: Komputery PC, laptopy, tablety i wszelkie gadżety
Temat: Dwie klawiatury PS/2 równolegle.
Odpowiedzi: 1
Odsłony: 3264

Witam

Może jest tak jak w I2C, wszyskie uklady mogą nadawac lub odbierac dane bo sterują wspólną linią przy pomocy otwartych kolektorów.

Ale powtarzam - "MOZE".
autor: bartekgajos
4 gru 2007, o 17:58
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: VHDL - licznik mod100
Odpowiedzi: 14
Odsłony: 14005

Witam

Pajączek:
Zmiana stanu nastepuje co 80000 cykli zega, ale jeden cykl zegara INT_CLK to przejscie z 0/1 i 1/0, wiec wyszlo 25Hz
autor: bartekgajos
3 gru 2007, o 21:11
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: VHDL - licznik mod100
Odpowiedzi: 14
Odsłony: 14005

Witam A ja bym to zrobił tak ( zakładam kwarc 4MHz ): library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity COUNTER_MOD10 is generic ( TIME_INTERVAL : integer := 800000; -- powinno byc 25 razy na sekunde port ( CLK : in std_logic; DIRECTION : in std_logic; OUT : std_logi...
autor: bartekgajos
3 gru 2007, o 17:55
Forum: Elektronika - tematy dowolne
Temat: Dane dotyczące transformatora DIF-001
Odpowiedzi: 2
Odsłony: 2844

Witam Raczej może, nie ma problemu przy użyciu transformatora wziętego z apliakcji 100Mbit /s do aplikacji na 10Mbit ( bo przecież przy słabej jakości połączenia ethernetowego RTL8029 pracuje również z prędkością 10Mbit /s . Odwrotnie juz sie nie da. Natomiast co do wyprowadzeń nie mam zielonego poj...
autor: bartekgajos
1 gru 2007, o 14:43
Forum: Elektronika - tematy dowolne
Temat: Przetwornica DC/DC - zakłócenia
Odpowiedzi: 4
Odsłony: 6282

Witam Układ LM2574 ma stosunkowo wysokie napięcia tętnień, ok. 1..2% wartości napięcia zasilania ( sprawdziłem w praktyce przy okazji jednego z moich projektów ) Oczywiście jakiś filtr L=10..20uH i kondensator 10..100uF pomorze, ale przy skokach obciążenia niestety nie da to fajnego rezultatu. Natom...

Wyszukiwanie zaawansowane