Znaleziono 22 wyniki

autor: Piotr Czak
3 sty 2011, o 13:30
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Licznik - quartus
Odpowiedzi: 1
Odsłony: 13104

Cześć, podeślij do mnie cały kod to na niego zerknę. Pierwsze co widzę to trzeba zastosować sygnał resetu który ustawi początkowe wartości. Dodatkowo potrzebne jest by w przypadku przekroczenia odpowiednich wartości ustawiana była odpowiednia następna. Podeślesz to Ci dopisze co trzeba i odeśle. Wid...
autor: Piotr Czak
25 paź 2010, o 08:39
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: VHDL - 2 wyświetlacze 7-segmentowe
Odpowiedzi: 2
Odsłony: 13765

Witam, rozwiązanie jest proste, wystarczy użyć funkcji konkatenacji czyli: z <= a & s & d & f; w <= g & h & j & k; Dobra rada na przyszłość, nazwa modułu powinna mówić o tym co ten moduł ma robić, nazwa "główny" nic nie mówi. Ułatwi Ci to później orientowanie się w projekcie oraz innym osobą, gdy po...
autor: Piotr Czak
23 lip 2010, o 06:58
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Pomoc z systemow cyfrowych - zaplace
Odpowiedzi: 7
Odsłony: 17331

No to pogratulować :) Kolega któremu ja pomagałem też dostał 4 :) nie zdążył przepisać 5 zadania :)
autor: Piotr Czak
22 lip 2010, o 07:39
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Pomoc z systemow cyfrowych - zaplace
Odpowiedzi: 7
Odsłony: 17331

Darlington jak poszło na egzaminie, bo chyba pisaliśmy ten sam ;)
autor: Piotr Czak
16 mar 2010, o 14:53
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: VHDL problem z pętlą
Odpowiedzi: 1
Odsłony: 5064

Witam, rozumiem, że chodzi Ci o napisanie TestBencha który będzie generować wartości dla data w podanym zakresie? Jeśli tak to: library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_unsigned.all; entity koder_tb is end koder_tb; architecture sim of koder_tb is component koder is PORT( data :...
autor: Piotr Czak
5 mar 2010, o 11:11
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: projektowaniw w VHDL
Odpowiedzi: 2
Odsłony: 4471

Witam, możesz ta drugą część zrobić w taki sposób: w entity dać x : in std_logic_vector(3 downto 0); y : out std_logic_vector(1 downto 0) następnie w architekturze: y(0) <= ( not x(4) or not x(2) or x(1)) and (x(3) or x(2)) and (x(4) or not x(1)); y(1) <= (x(4) and x(2) and x(1)) or ( not x(3) and n...
autor: Piotr Czak
25 lut 2010, o 08:12
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: VHDL - 3 pytania
Odpowiedzi: 4
Odsłony: 5945

Witam,

odpowiedź przesłałem w wiadomości ze skrzynki.
Tak "case" załatwia użycie "if'a"
- moze być
W jakim programie to jest??

Pozdrawiam
Piotr Czak
autor: Piotr Czak
22 lut 2010, o 10:26
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: VHDL - 3 pytania
Odpowiedzi: 4
Odsłony: 5945

Cześć, 1. to co jest po := stanowi wartość początkowa tej zmiennej i prawdopodobnie dlatego pojawiają się warningi. Moje pytanie po co takie coś robisz, co chciałbyś uzyskać. 2. tak wektor(0) to z7 3. Najlepiej nie używać stanów nieokreślonych. No chyba, że robisz jakiś specjalny dekoder np dekodera...
autor: Piotr Czak
4 maja 2009, o 10:20
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Błąd przy kompilacji
Odpowiedzi: 5
Odsłony: 6485

Cześć,

zacznijmy od tego byś podał w jakim programie to kompilujesz, jakies makro do kompilacji. Przed Errorem powinny byc tez jakies warningi, itp. Przydalo by sie wiecej informacji.

Pozdrawiam
autor: Piotr Czak
9 lut 2009, o 13:04
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
Odpowiedzi: 29
Odsłony: 23454

Witam TestBench pisze się bardzo łatwo, załączyłem w poprzedniej odpowiedzi taki jaki jest Ci potrzebny. Co do równoległości szeregowości i innych dziwnych wyrazów to tak jak napisałem są to pojęcia względne. Chodzi o to, by cała tablica była zapisana przed kolejną operacją, co jest zrobione, ma sie...
autor: Piotr Czak
8 lut 2009, o 18:19
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
Odpowiedzi: 29
Odsłony: 23454

Witam, TestBencha pisze się bardzo prosto, o to taki jak potrzebujesz: entity TestBench is generic( n_calk : integer :=6; k_calk : integer :=3 ); end TestBench; architecture Behavioral of TestBench is component generator_komb is generic( n_calk : integer :=6; k_calk : integer :=3 ); port( CLK : in s...
autor: Piotr Czak
5 lut 2009, o 15:10
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
Odpowiedzi: 29
Odsłony: 23454

Witam, moja propozycja tego kodu: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity generator_komb is generic( n_calk : integer :=6; --max 15,jesli chce sie wiecej trzeba odpowiednio zwiekszyc zakres nowo zdefiniowanych w programie typow...
autor: Piotr Czak
5 lut 2009, o 12:10
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
Odpowiedzi: 29
Odsłony: 23454

Cześć, no niby tak problem rozwiązany, ponieważ prowadzący nie czepiał się bardzo. Gdyby się czepiał. To co moge napisać ja: 1. Kod ewidentnie nie został przez Ciebie przesymulowany na symulatorze ponieważ info ktore sie pojawilo: INFO:Xst:1433 - Contents of array <A> may be accessed with an index t...
autor: Piotr Czak
4 lut 2009, o 08:07
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
Odpowiedzi: 29
Odsłony: 23454

Cześć sprawa również bardzo prosta zamiast to dajemy downto obracamy zmienne dajemy exit i po sprawie, czyli dla przykładu: F1: for i 10 downto 0 loop A_temp(i) := s; exit F1 when (i < zmienna) end loop F1; Podaj mi jeszcze jak masz zadeklarowany sygnał A_temp, s i zmienna, ponieważ te "INFO" mówi o...
autor: Piotr Czak
3 lut 2009, o 22:02
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Schemat blokowy systemu złożonego z 2ch procesorów pBlaze
Odpowiedzi: 2
Odsłony: 5256

Hej, rozwiązanie proste zgodnie z podpowiedzią (piotr_go), jeśli chodzi oczywiście o pamięć programu, czyli pamięć która będzie tylko odczytywana przez pblaze. 1. Pamięć współdzielona pblaze_1 o magistrali adresowej 8 bitowej podpięty jest do portów "a" dual port ramu pblaze_2 o magistrali adresowej...
autor: Piotr Czak
3 lut 2009, o 20:37
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: rozbudowa systemu pBlaze...
Odpowiedzi: 1
Odsłony: 3488

Cześć, sprawa wygląda w miarę prosto, należy dopisać zewnętrzny moduł kontrolera przerwań do którego zgłaszane będą przerwania przez podpięte do niego urządzenia. Jeżeli wystąpi przerwanie od jakiegoś urządzenia moduł ten ustawi odpowiednią wartość w wewnętrznym rejestrze, jednocześnie może zablokow...
autor: Piotr Czak
3 lut 2009, o 20:30
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: checksyntax wyświetla bardzo dużo błędów w moim kodzie
Odpowiedzi: 29
Odsłony: 23454

Ceść, sprawa wygląda tak, jeśli mamy jakąś pętle to liczba jej powtórzeń musi być sprecyzowana konkretnie, ponieważ to nie jest zwykła pętla jak w c++ która się ileś razy wykonuje. Pętla for w układzie FPGA po jego zaprogramowaniu N razy rozmieszcza logikę (to co jest w pętli for) w układzie i nie m...
autor: Piotr Czak
3 lut 2009, o 20:14
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Nauka VHDL dobra ksiazka
Odpowiedzi: 4
Odsłony: 8220

Cześć, przygodę z VHDL'em zaczynałem właśnie z tą książką, jest bardzo dobra i według mnie napisana bardzo przystępnym językiem. Polecam na początek równocześnie czytając książkę pisać ten kod i symulować. Oczywiście im dalej tym bardziej skomplikowane rzeczy, ale do przejścia. I tak najwięcej człow...
autor: Piotr Czak
3 lut 2009, o 20:10
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Problem z implementacją w VHDLu
Odpowiedzi: 7
Odsłony: 6979

Cześć nie wiem czy to nie za późno na odpowiedź, którą może już znasz, ale jeśli nie to: we wszelkich procesach przypisanie zachodzi sekwencyjni czyli jedno po drugim, ważne jest natomiast kiedy takie przypisanie następuje do portu do signal a kiedy do variable. Do variable przypisanie następuje nat...
autor: Piotr Czak
3 lut 2009, o 19:14
Forum: PLD/FPGA i inne zagadnienia techniki cyfrowej
Temat: Zaprojektuj potokowy układ obliczający wyrażenie FOUT
Odpowiedzi: 2
Odsłony: 4525

Hej

to znów ja, odpowiem Ci na to pytanie poprzez meila bo będzie prościej :)

Pozdrawiam
Piotrek

Wyszukiwanie zaawansowane