Aktyw Forum

Zarejestruj się na forum.ep.com.pl i zgłoś swój akces do Aktywu Forum. Jeśli jesteś już zarejestrowany wystarczy, że się zalogujesz.

Sprawdź punkty Zarejestruj się

miernik częstotliwośći w vhdl

Moderatorzy: Jacek Bogusz, Moderatorzy

przemosbb
-
-
Posty: 1
Rejestracja: 11 lip 2004, o 15:21
Lokalizacja: Leszno
Kontaktowanie:

miernik częstotliwośći w vhdl

Postautor: przemosbb » 11 lip 2004, o 15:25

czesc mam problem z realizacja miernika częstotliwości w vhdl. Mam juz dekade liczników zliczajacych oraz wyswietlacze 7 seg. Nie mogę zrealizować automatu stanu sterującego zmiennym czasem otwarcia bramki (zależnie od zakresu pomiarowego), generatora czestotliwości wzorcowej oraz dzielnika częstotliwości wzorcowej. Jeśli ktoś wie o co mi chodzi lub zna osobę orientującą się w tym bardzo proszę o pomoc. Z góry serdeczne dzięki. Pozdrawiam

Awatar użytkownika
gawel
-
-
Posty: 683
Rejestracja: 24 lis 2003, o 11:19
Lokalizacja: Białystok

Postautor: gawel » 11 lip 2004, o 19:55

Częstotliwość wzorcową to musisz wziąść z zewnątrz (na zewnątrz zastosować gotowy generator, który będzie spełniał rolę generatora częstot. wzorcowej). Ta częstotliwość podzielić przy użyciu dekady liczącej (już taki element masz zdefiniowany). Wyjśia kolejnych dekad mogą być wybrane jako czas otwarcia bramki do zliczania impulsów. Jeżeli w trakcie zliczania impulsów (otwarcia bramki liczącej) nastąpi przepełnienie (musisz do swoich liczników dobudować wykrywanie przepełnienia licznika), to skracasz czas otwarcia bramki dziesięciokrotnie (przełączając się na wyjście poprzedniej dekady). Jeżeli po zakończeniu zliczania będziesz miał najstarszą cyfrę równą zero, do możesz wydłużyć czas otwarcia bramki przez przełączenie się na następną dekadę.

Piotr
Moderator
Moderator
Posty: 465
Rejestracja: 14 lut 2003, o 13:53
Lokalizacja: Warszawa
Kontaktowanie:

Postautor: Piotr » 17 maja 2005, o 23:21

Stary post, przegapilem :(( W sierpniowej lub wrzesniowej EP bedzie w VHDL. Byl w AHDL pare lat temu (AVT-267).
pzdr
PZb

Wróć do „PLD/FPGA i inne zagadnienia techniki cyfrowej”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 18 gości